IM体育手机版2021年中国半导体设备行业研究报告
发布时间:2024-03-07 13:33:55

  IM体育入口半导体设备是指用于制造各类半导体产品所需的生产设备,也包括生产半导体原材料所需的其他类机器设备,属于半导体行业产业链的支撑环节。其中生产半导体的核心专用设备是半导体产业的技术先导者,芯片设计、晶圆制造和封装测试等需在设备技术允许的范围内设计和制造,设备的技术进步又反过来推动整个产业的发展。

  半导体设备分类:半导体设备可分为前道设备(晶圆制造)和后道设备(封装与测试)两大类。前道设备涉及硅片加工、光刻、刻蚀、离子注入、薄膜沉积、清洗、抛光、金属化等工艺,所对应的核心专用设备包括硅片加工设备、光刻设备、刻蚀设备、清洗设备、离子注入设备、薄膜沉积设备、机械抛光设备、量测设备等。后道设备则包括封装设备和测试设备。2020年全球半导体设备中,晶圆制造设备占比86%,测试设备占比9%,封装设备占比5%。

  全球半导体产业的发展历程,经历了由美国、日本、韩国和中国台湾向中国大陆等地区的三次产业转移。在全球半导体产业区域转移的行业背景下,随着中国半导体市场的快速增长,其全球地位也在快速提升。

  •第一次产业转移(1960-1970:美国→日本)第一阶段转移为技术、利润含量较低的封装测试环节。随着家电行业的兴起,半导体器件逐渐从军工设备延伸到家电领域,日本的索尼、东芝、日立等企业快速发展,带动集成电路产业链从美国转移到日本。

  •第二次产业转移(1970-1980:日本→韩国、中国台湾)第二阶段转移为集成电路精细化分工。PC电脑的普及极大地提升了对半导体器件的需求,韩国、台湾依托在存储器制造和晶圆代工方面的优势,逐渐掌握了集成电路领域的主导权。

  •第三次产业转移(1990-2000:韩国、中国台湾→中国大陆)第三阶段转移为产业规模。中国大陆地区新增晶圆厂产能逐步释放,为国内集成电路行业在降低成本、地域合作便利性、产品多样化等方面提供支持,对于集成电路产业的发展起到了促进作用。移动通信的出现对半导体器件的性能、功耗和集成度提出了更高的要求,加上技术更新速度加快,产业链专业化分工的趋势愈发明显。此外,2010年之后兴起的物联网、人工智能、云计算等概念进一步拓宽了半导体器件的应用领域,中国依托庞大的消费市场正在逐步承接全球集成电路产业链的第三次转移。

  芯片(集成电路)制造就是在硅片上雕刻复杂电路和电子元器件(利用薄膜沉积、光刻、刻蚀等工艺),同时把需要的部分改造成有源器件(利用离子注入等)。应用于集成电路领域的设备通常可分为硅片制造设备、前道工艺(晶圆制造)设备和后道工艺(封装测试)设备三大类。其中晶圆制造的前道工艺是技术含量最高,价值量最大的核心制造环节。

  •单晶硅片制造:工业生产中对硅的需求主要包括半导体级和光伏级。半导体级单晶硅的纯度远远高于光伏级单晶硅。半导体级单晶硅片的生产首先将多晶硅硅料在石英坩埚中熔化,并掺入磷、硼等元素,之后再单晶炉中拉出单晶棒,使用切割机和钢线进行切片,之后对硅片进行边缘倒角处理,然后进行研磨,之后蚀刻讲表面变平整,之后通过抛光来产生抛光片。生产设备主要包括单晶炉、切片机、倒角机、刻蚀机、抛光机、清洗机、量测机等,其中核心设备为单晶炉、抛光机和量测机。

  •光刻:光刻的主要环节包括涂胶、曝光与显影。涂胶是指通过旋转晶圆的方式在晶圆上形成一层光刻胶;曝光是指先将光掩模上的图形与晶圆上的图形对准,然后用特定的光照射。光能激活光刻胶中的光敏成分,从而将光掩模上的电路图形转移到光刻胶上;显影是用显影液溶解曝光后光刻胶中的可溶解部分,将光掩模上的图形准确地用晶圆上的光刻胶图形显现出来。

  •刻蚀:刻蚀主要分为干法刻蚀和湿法刻蚀,指未被光刻胶覆盖的材料被选择性去除的过程。干法刻蚀主要利用等离子体对特定物质进行刻蚀。湿法刻蚀主要通过液态化学品对特定物质进行刻蚀。

  •离子注入、退火:离子注入是指将硼、磷、砷等离子束加速到一定能量,然后注入晶圆材料的表层内,以改变材料表层物质特性的工艺。退火是指将晶圆放置于较高温度的环境中,使得晶圆表面或内部的微观结构发生变化,以达到特定性能的工艺。

  半导体行业产业链可分为上游材料、设备等支撑性产业,中游包括芯片设计、晶圆制造和封装测试等制造类产业,以及下游的半导体终端销售与服务产业。

  •上游:主要分为半导体材料与半导体设备产业,为中游晶圆制造产业提供必要的原材料与生产设备。半导体设备的主要元器件包括视觉系统、继电器、传感器、计算机、PCB板以及各类机械零件。

  •中游:半导体制造产业为半导体产品的核心环节,可分为集成电路、分立器件、光电子器件和传感器。集成电路在半导体产品中占比超过80%,所以半导体制造流程主要体现IC设计-IC制造-IC封测环节,这成为是绝大多数电子设备的核心组成部分。

  •下游:半导体下游应用广泛,涉及通信技术、消费电子、工业电子、汽车电子、人工智能、物联网等多个领域。下游应用行业需求增长是中游晶圆制造产业快速发展的核心驱动力。

  半导体行业的经营模式主要分为垂直一体化模式(IDM)及垂直分工模式(Fabless、Foundry、OSAT)。IDM是指厂商承担设计、制造、封装测试的全部流程,该模式具备产业链整合优势。Fabless厂商专注于芯片设计环节,将生产和封测环节外包,芯片设计企业具有轻资产优势;Foundry企业则专注于晶圆代工领域,代工厂商承接芯片设计企业委外订单,并形成规模效应,此类企业投资规模较大,维持生产线正常运作的经营成本较高;此外还有聚焦于半导体封装与测试环节的OSAT模式等。

  垂直一体化模式(IDM):IDM即垂直整合制造商,是指包含集成电路设计、晶圆制造、封装测试以及投向消费市场全环节业务的半导体企业经营模式。企业需要拥有自己的晶圆厂、封装厂和测试厂,自建芯片制造、封装和测试生产线,在完成半导体的设计、芯片制造、封装测试等环节后销售给下游客户。IDM模式的主要的优势包括:设计、制造等环节协同优化,有助于充分发掘技术潜力;能有条件率先实验并推行新的半导体技术。主要的劣势有:IDM企业规模庞大,管理成本较高;运营费用较高,资本回报率偏低。由于该模式对企业技术、资金和市场份额要求极高,目前仅有英飞凌、三菱等少数国际巨头采用此模式。

  半导体设备市场与半导体产业景气度密切相关。2019年半导体行业进入下行周期,半导体设备市场也有所下降。2020年下半年以来,疫情的反复叠加供需错配的影响,导致全球芯片供给紧张,晶圆厂商加大资本开支扩建产能,半导体行业重新进入上行周期。随着下游需求的稳步增长,以及新兴领域的高速发展,2021年全球半导体产业面临着先进制程产能的扩张需求,为半导体设备行业带来巨大的市场空间。

  据国际半导体产业协会(SEMI)数据统计,2020年全球半导体设备销售额达712亿美元,同比增长近20%,预计2021年全球半导体设备销售额将突破1,000亿美元。2020年中国大陆半导体设备销售规模达187亿美元,同比增长近40%,首次超过中国台湾地区,成为全球第一大半导体设备市场,预计2021年中国半导体设备销售额将突破200亿美元。

  半导体设备行业具有较高的技术壁垒、市场壁垒和客户认知壁垒。全球半导体设备市场主要由国外厂商主导,美国、日本、荷兰等企业处于市场垄断地位。2020年全球半导体设备行业头部五家企业有:应用材料(AMAT)、阿斯麦尔(ASML)、泛林半导体(LAM)、东京电子(TEL)和科磊半导体(KLA)。2020年行业CR5占比达到65%以上,全球半导体设备竞争格局呈现高度集中状态。

  WSTS、SEMI、SIA、CSIA是全球及中国重要的半导体行业组织。国内外半导体行业组织设立的目的主要是制(修)订行业标准及推荐标准;维护从业企业的合法权益,反对不正当竞争,尊重、保护知识产权,促进和组织订立行规行约,推动市场机制的建立和完善;积极开展同业交流与合作,促进产业发展,推动产业合作深化。

  我国半导体产业相对落后的局面受到国家领导层的高度关注,近年来国家密集出台一系列政策提振半导体产业发展。从2000年开始,国务院持续出台扶持政策,支持集成电路产业发展。2016年“十三五”国家战略性新兴产业发展规划中,把关键芯片的设计、存储、封测、显示作为半导体产业下一步发展的重要领域。2021年3月全国人大发布《国民经济和社会发展第十四个五年规划和2035年远景目标纲要》指出,在集成电路领域,关注集成电路设计工具、重点装备和高纯靶材等关键材料研发、集成电路先进工艺和绝缘栅双极型晶体管(IGBT)、微机电系统(MEMS)等特色工艺突破,先进存储技术升级,碳化硅、氮化镓等宽禁带半导体发展。

  工艺要求:半导体级硅片对纯度要求高,制作工艺需同步精进。芯片制造工艺对硅片缺陷密度与缺陷尺寸的容忍度很低,制造过程中需要更加严格地控制硅片表面微粗糙度、硅单晶缺陷、金属杂质、晶体原生缺陷、表面颗粒尺寸和数量等技术指标,这些参数将直接影响半导体产品的成品率和性能。

  单晶炉是一种在惰性气体(氮气、氦气为主)环境中,用石墨加热器将多晶硅等多晶材料熔化,用直拉法生长无错位单晶的设备。现在生产中用于硅片制备的最普遍技术是直拉单晶法(CZ法),此外还有一种区熔法,但目前市场运用相对较少。

  倒角机:在硅片的加工过程中,从硅晶锭切成硅片后,如果直接进行磨片,容易产生崩边,从而引起硅片报废,因此在磨片之前需要对硅片进行倒角。倒角工艺是通过金刚石砂轮对硅片边缘进行打磨使其边缘钝圆光滑,而不易破碎。倒角机采用高速运转的金刚石磨轮,对进行转动的硅片边缘进行摩擦,从而获得钝圆形边缘的过程,属于固定磨粒式磨削。倒角机可以消除硅片边缘的锋利区,大大减小边缘崩裂的出现,利于释放压力。

  半导体制造的原材料主要包括硅、电子气体、光掩膜、光刻胶配套化学品、抛光材料、光刻胶、湿法化学品与溅射靶材等。根据国际半导体产业协会(SEMI)数据统计,2020年全球半导体制造材料市场规模为349亿美元,其中硅片及硅基材料市场规模为128亿美元,是占比最大的半导体制造材料。

  硅片制造设备投入一般占硅片制造企业总投资的70%-80% ,其中单晶硅生长炉、切磨抛等加工设备是硅片制造的主要设备,占据超过80% 的比重。单晶炉在所有硅片加工设备中的市场价值量占比最高,约为20%-25% 。此外硅片制造完成后的量测环节设备附加值也较高,约有20% 的比重。

  根据国际半导体产业协会(SEMI)数据统计,全球半导体硅片市场从2016年的77亿美元增至2020年的115亿美元,其中2019年和2020年出现的下滑分别由于贸易因素和疫情影响,之后由于5G的普及和汽车行业景气度回升,预计2021年半导体硅片市场依然呈上升势头。全球硅片出货面积从2011年的115亿平方英寸增至2020年的125亿平方英寸,2021年出货量有望突破140亿平方英寸。硅片价格也在2016年低谷期之后随着需求提升而逐步回暖,从2016年的0.67美元/平方英寸增至2020年的0.91美元/平方英寸,结合半导体产线的投产需要两年左右的时间,硅片价格有望保持现有水平。

  据行行查数据显示,2020年中国大陆地区的半导体硅片市场规模迫近15亿美元,近五年复合增速达到超过25%,全球半导体硅片市场近十年复合增速仅为1.2%,近五年复合增速约为11.1%。中国半导体硅片市场规模增速高于全球水平。我国单晶硅行业市场规模由2017年的75亿元增长至2020年的380亿元,年均复合增长率超过70%;从需求端来看,2020年我国单晶硅片消费量突破140GW,同比增速超过60%。由此可见,在近年来半导体产业的驱动下,我国单晶硅市场规模和需求量在未来也将持续保持高速增长。

  2020年全球前五大半导体硅片厂商分别为日本信越化学、日本盛高(SUMCO)、中国台湾地区的环球晶圆、德国SiltronicAG以及韩国的SKSiltron。其中,日本的信越化学和SUMCO合计份额约市场总量的一半,前五大厂商一共占据全球半导体硅片市场超过85%的份额,虽较2019年市场占比总和有所下降,但头部企业集中度依然较高,行业整体呈现寡头垄断格局。

  浙江晶盛机电股份有限公司创建于2006年12月,是国内领先的半导体材料装备和LED衬底材料制造的高新技术企业。公司围绕硅、碳化硅、蓝宝石三大主要半导体材料,从事关键设备的研发、制造和销售,并适度延伸到材料领域,产品主要应用于集成电路、太阳能光伏、LED、工业4.0等新兴产业。2012年晶盛机电在创业板上市。

  光刻机又叫掩模对准曝光机、曝光系统,光刻系统等,它是制造芯片的核心装备。光刻机采用类似照片冲印的技术,把掩膜版上的精细图形通过光线的曝光印制到硅片上。光刻机中主要的装置为光学系统,其中光源、物镜是最关键的零部件,分别掌握着光源波长和数值孔径,是影响芯片制程线距的关键。光刻机是生产大规模集成电路的核心设备,制造和维护需要专业度极高的光学和电子工业知识基础,世界上只有少数厂家掌握这一核心技术,因此光刻机价格昂贵,通常在3千万至5亿美元。

  关键部件:光刻机主要包括光源、投影物镜和工件台三个子系统及其他部件。其中光源系统主要用来发射激光,投影物镜系统主要用来对光线进行精准聚焦,工件台主要用来承载硅片并根据光刻需求进行精密运动,其决定了光刻机的分辨率和生产效率。

  •光学镜片:高端光刻机含有上万个零部件,而光学镜片则是核心部件之一。高数值孔径的镜头决定了光刻机的分辨率以及套值误差能力。

  •光源:光源则是高端光刻机另一核心部件,光源波长决定了光刻机的工艺能力。光刻机需要体积小、功率高而稳定的光源。

  光刻工艺:光刻是将设计好的电路图从光刻版或倍缩光刻版转印到晶圆表面的光刻胶上,便于后续通过刻蚀和离子注入等工艺实现设计电路,是晶圆制造中最重要的技术。光刻工艺包括三个核心流程:涂胶、对准和曝光以及光刻胶显影。整个光刻过程需要经过八道工序:晶圆清洗、表面预处理、光刻胶自旋涂敷、软烘烤、对准、曝光、曝光后烘烤、显影、坚膜烘烤和图形检测。

  沉浸式光刻技术:传统的光刻技术中,其镜头与光刻胶之间的介质是空气,而所谓浸入式技术是将空区气介质换成液体,利用光通过液体介质后光源波长缩短来提高分辨率。ArF沉浸式紫外光结合多重图形工艺突破光源波长的技术极限,实现芯片制程微缩至14nm,为2020年国内芯片制造商量产14nm芯片的主要光刻技术。

  根据全球光刻机公司公开资料统计,2020年EUV、ArFi、ArF、KrF、i-line等类型的光刻机销量分别为31台、79台、33台、143台、127台,占比分别为8%、19%、8%、35%、31%;销售额分别约为53.5亿美元、51.4亿美元、16.5亿美元、19.6亿美元、10亿美元,各类光刻机设备机型的销售额占比分别为35%、34%、11%、13%、7%。EUV光刻机销量占比最低,但凭借超高的价值量,销售额占比排名第一。未来随着4nm、3nm、甚至2nm技术不断突破,先进制程占比不断提升,预计EUV光刻机的价值量将继续攀升,市场份额也将进一步扩大。

  全球光刻机市场主要由荷兰的阿斯麦(ASML)、日本尼康和佳能三家把持,其中ASML更是全球绝对龙头。2020年各公司年报数据统计,ASML、尼康、佳能的光刻机销量分别为258台、33台、122台,占比分别为62%、8%、30%;销售额分别约为780亿元、120亿元、88亿元,销售额占比分别为79%、12%、9%。ASML销售额占比高于销量占比的原因,主要是ASML在高端光刻机领域具有绝对领先优势,尤其在EUV光刻机领域,更是全球独家供应商。

  针对半导体产业,国务院于“十二五”规划期间推出“极大规模集成电路制造装备及成套工艺”重大专项(简称“02专项”),旨在突破集成电路制造装备、材料、工艺、封测等核心技术。“02专项”启动了多个重大课题,针对半导体领域,国内企业如上海微电子已经实现0的突破,除上海微电子生产光刻机整机以外,国内还有华卓精科和国科精密从事光刻机零部件的研发和生产。华卓精科以光刻机双工件台这一超精密机械领域的尖端产品为核心,并以该产品的超精密测控技术为基础,开发了晶圆级键合设备、激光退火设备等整机产品。国科精密致力于极大规模集成电路光刻投影光学、显微光学、多光谱融合成像探测、超精密光机制造与检测等领域的高技术研究,同时开展相应各类高端光学仪器与装备产品的研发工作。

  荷兰ASML公司是一家总部设在荷兰南部埃因霍芬市(Eindhoven)的全球最大的半导体设备制造商之一,向全球复杂集成电路生产企业提供领先的综合性关键设备。ASML集合美国、欧洲科研力量,掌握了EUV光刻机的核心技术,从而奠定了在高端光刻机的龙头地位。通过并购竞购竞争对手,不断布局光刻机领域关键技术;同时加强与三星,英特尔和台积电等世界顶级芯片制造商的合作。ASML在欧洲、亚洲及美国的50多个地区拥有超过9,000名员工,ASML一直致力于中国市场的拓展与合作,包括香港在内已经在北京、上海、深圳、无锡等地开设分公司,为客户提供及时的服务和咨询。

  上海微电子装备(集团)股份有限公司成立于2002年,主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集成电路前道、先进封装、FPD面板、MEMS、LED、PowerDevices等制造领域,是国内唯一专门从事光刻机的研发、生产和销售半导体设备商。其主要控制人是上海市国资委,持股占比达53.49%。

  北京科益虹源光电技术有限公司成立于2016年07月29日,注册地位于北京市。科益虹源是中国唯一、世界第三家高能准分子激光器研发制造企业,2018年自主研发设计生产成功后,打破了国外厂商对该技术产品的长期垄断。科益虹源承担国家02项光刻机核心部件准分子激光器,全面开展28nm浸没式曝光光源项目开发,完成了193nm样机实验,进入国际最高端的DUV光刻光源产品系列。目前已完成6khz、60w光刻机光源的制造,该光源即为现阶段主流ArF光刻机光源。

  长春国科精密光学技术有限公司是中国超精密光学产业技术的积极倡导者与先行者。公司于2014年8月成立,总部位于吉林省长春市,在上海设有投影光刻照明系统研发分公司。国科精密致力于极大规模集成电路光刻投影光学、显微光学、多光谱融合成像探测、超精密光机制造与检测等领域的高技术研究,同时开展相应各类高端光学仪器与装备产品的研发工作。

  北京华卓精科科技股份有限公司成立于2012年5月9日,是由清华IC装备团队在清华大学及其下属“北京-清华工业技术研究院”和“02专项”的支持下创立。华卓精科是一家肩负着专项重大科研成果产业化重任的高新技术企业,公司建立初衷在于将清华大学在“02专项”中积累的高端垄断技术落地产业化,通过“技术辐射&下行”的方式,面向国内市场提供产业界急需的高端零部件、子系统类产品。华卓精科主要从事半导体制造装备及其关键零部件研发、设计、生产、销售与技术服务。

  浙江启尔机电技术有限公司成立于2013年,2017年8月签约入驻青山湖科技城,公司主要研发、生产和销售高端半导体装备超洁净流控系统及其关键零部件。启尔机电前身是浙江大学流体动力与机电系统国家重点实验室启尔团队,先后承担国家“863计划”和国家科技重大专项等科研项目三十余项,已成长为该技术领域全球前三,国内唯一高科技公司,具有不可替代的行业地位。

  东方晶源微电子科技(北京)有限公司成立于2014年,总部位于北京亦庄经济技术开发区,是一家专注于集成电路良率管理的企业。公司自成立以来坚持以创新引领发展,核心成员拥有美国硅谷、日本以及欧洲等世界一流半导体公司的产品研发和管理经验。

  刻蚀是用化学或物理方法有选择地在硅片表面去除不需要的材料的过程,其基本目标是在涂胶的硅片上正确地复制掩模图形。它是与光刻相联系的图形化处理的一种主要工艺,是半导体制造工艺的三大关键步骤(光刻、刻蚀、薄膜沉积)之一。

  刻蚀技术按照刻蚀工艺划分,其主要分为干法刻蚀以及湿法刻蚀。由于干法刻蚀可以实现各向异性刻蚀,符合现阶段半导体制造的高精准、高集成度的需求,因此在小尺寸的先进工艺中,基本采用干法刻蚀工艺,导致干法刻蚀在半导体刻蚀市场中占据绝对主流地位,市场占比超过90%。其中:

  •干法刻蚀是用等离子体进行薄膜刻蚀,能实现各向异性,保证细小图形转移后的保真性,但造假价高。

  •湿法刻蚀是将刻蚀材料浸泡在腐蚀液内进行腐蚀,其表面均匀性好,对硅片损伤小,几乎适用于所有的金属、玻璃、塑料等,但各向异性较差,侧壁容易产生横向刻蚀造成刻蚀偏差。

  等离子体刻蚀技术的原理是利用等离子体放电产生的带化学活性的粒子,在离子的轰击下,与表面的材料发生化学反应,产生可挥发的气体,从而在表面的材料上加工出微观结构。根据产生等离子体方法的不同,干法刻蚀主要分为电容性等离子体刻蚀和电感性等离子体刻蚀,这两种刻蚀技术优势互补,涵盖了主要的刻蚀应用。

  •电容性等离子体刻蚀(CCP):主要是以高能离子在较硬的介质材料上,刻蚀高深宽比的深孔、深沟等微观结构。CCP技术能量较高、但可调节性差,适合刻蚀较硬的介质材料(包括金属)。

  •电感性等离子体刻蚀(ICP):主要是以较低的离子能量和极均匀的离子浓度刻蚀较软的和较薄的材料。ICP能量低但可控性强,适合刻蚀单晶硅、多晶硅等硬度不高或较薄的材料。

  半导体设备市场与半导体产业景气度密切相关。根据国际半导体产业协会(SEMI)数据显示,2016-2020年全球半导体设备市场规模从412亿美元增长到712亿美元,年均复合增长率约为15%。刻蚀设备在集成电路制造中占据重要地位,并已成为市场规模比重最高的细分领域之一。近年来刻蚀设备由于晶圆代工以及存储产线工艺优化,使得刻蚀设备的加工步骤增多,带来刻蚀工艺需求持续提升,刻蚀设备有望成为更关键、且投资占比更高的半导体设备。据行行查数据显示,2020年全球半导体刻蚀设备市场规模回升至137亿美元,同比增长25%,在全球集成电路制造设备市场的规模占比约为20%。

  在政策和资金的双轮推动下,国内设备厂商已成功进入大多数集成电路制造设备细分领域,集成电路制造设备国产化潜力巨大。当前刻蚀设备国产化率在20%左右,主要国内厂家有中微公司、北方华创与屹唐半导体等。

  泛林集团成立于1980年,是美国一家从事设计,制造,营销和服务用于制造集成电路的半导体加工设备的公司。公司产品主要用于前端晶片处理,涉及有源元件的半导体器件(晶体管,电容器)和布线(互连)。后端晶圆级封装和相关制造市场(如微机电系统)提供设备。泛林集团设计和构建半导体制造设备,包括薄膜沉积,等离子体蚀刻,光刻胶剥离和晶片清洗工艺。在整个半导体制造过程中,这些技术有助于创建晶体管,互连,高级存储器和封装结构。

  中微半导体设备(上海)股份有限公司是一家以中国为基地、面向全球的高端半导体微观加工设备公司,致力于为集成电路和泛半导体行业提供极具竞争力的高端设备和高质量的服务。公司总部位于上海,聚焦亚洲,并为全球的客户提供技术和设备的解决方案。2019年公司于国内科创板上市,成为科创板首批上市公司之一。中微公司的客户遍布中国大陆和台湾、新加坡、韩国、德国、意大利、俄罗斯等国家和地区。

  薄膜沉积概念:在半导体组件工业中为了对所使用的材料赋与某种特性,在材料表面上常以各种方法形成被膜而加以使用,被膜经由原子层所形成的过程称为薄膜沉积。晶圆加工工序可大致拆解为基板工序FEOL(负责在基板上制造出晶体管等部件形成MOS结构、介质膜、接触孔等结构)和布线工序BEOL(将FEOL制造各部件与金属材料连接布线形成电路)。构成这些微观结构的主要“骨架”,起到产生导电层或绝缘层、阻挡污染物和杂质渗透、提高吸光率、临时阻挡刻蚀等重要作用的,其中这些元器件中涉及了十余种不同材料的薄膜,各类电性能、机械性能不同的薄膜构成了芯片结构体中不同的功能。

  物理沉积过程在基体表面沉积成膜的方法主要有蒸镀、溅射和离子镀等。蒸镀是在真空环境中把蒸镀材料加热熔化后蒸发,使大量原子、分子、原子团离开熔体表面,凝结在工件表面上形成镀膜。溅射是用高能粒子冲击固体表面,固体表面的原子、分子与这些高能粒子交换动能,从而由固体表面飞溅出来,飞溅出来的原子及其他离子在随后过程中沉积凝聚在工件表面形成薄膜镀层,称为溅射镀膜。离子镀是在真空条件下,利用气体放电使气体或被蒸发物质离子化,在气体离子或蒸发物质离子轰击作用下,把蒸发物质或其反应物蒸镀在工件上。

  2020年全球半导体薄膜沉积设备市场规模达172亿美元,年复合增长率超过10% ,薄膜沉积设备(包含CVD及其他沉积设备)在晶圆产线各类设备中的价值占比大约为20%。未来集成电路制造业产能扩张、产品升级和技术节点突破将带来半导体薄膜沉积设备市场规模的高速增长。

  2020年全球等离子体CVD设备市场空间达47亿美元,远超其他类别的沉积设备。应用材料(AMAT)、泛林半导体(LAM)的此类设备市占率分别为49% 和34% ,设备种类齐全,在薄膜材料和淀积指标上处在领先地位。ALD设备已成为薄膜沉积工艺的主流技术,各大主流设备厂商均有布局。2020年全球ALD设备市场空间近18亿美元,在沉积设备市场的份额达到13% ,仅次于等离子体CVD和PVD。其中荷兰先晶半导体(ASMI)的占比高达到46% ,应用材料(AMAT)、泛林半导体(LAM)等设备巨头也均有一定的竞争力。

  中国整个薄膜沉积设备领域98%依赖进口,国产化率仅为2% 左右,未来替代空间巨大。国内厂商中,北方华创和拓荆科技处于行业领先地位,北方华创的CVD、PVD等相关设备已具备28nm工艺水平,14/10/7nm等先进制程正处于研发与验证阶段。拓荆科技CVD和ALD相关设备已广泛应用于国内晶圆厂14nm及以上制程集成电路制造产线nm及以下制程产品验证测试。

  美国应用材料公司成立于1967年,总部位于美国加州圣克拉拉。半导体设备为公司主要营收来源,在半导体设备领域,公司覆盖了薄膜沉积设备、刻蚀设备、离子注入设备、CMP设备以及检测设备等设备,是国际领先的半导体设备厂商,公司在薄膜沉积设备和离子注入设备领域具有垄断地位。

  北方华创科技集团股份有限公司(原名“七星电子”)成立于2001年9月,是由北京七星华创电子股份有限公司和北京北方微电子基地设备工艺研究中心有限责任公司战略重组而成,是目前国内集成电路高端工艺装备的先进企业。北方华创主营半导体装备、真空装备、新能源锂电装备及精密元器件业务,为半导体、新能源、新材料等领域提供解决方案。2010年3月,公司深交所主板A股上市。

  拓荆科技股份有限公司成立于2010年4月,公司总部坐落于沈阳市浑南区,是国家高新技术企业,主要从事高端半导体专用设备的研发、生产、销售与技术服务。公司主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,拥有自主知识产权,技术指标达到国际同类产品先进水平,产品主要应用于集成电路晶圆制造,以及TSV封装、光波导、Micro-LED、OLED显示等高端技术领域。

  半导体清洗是指针对不同的工艺需求对晶圆表面进行无损伤清洗以去除半导体制造过程中的颗粒、自然氧化层、金属污染、有机物、牺牲层、抛光残留物等杂质的工序。清洗设备在晶圆制造工艺设备市场中价值量占比在5%左右,国产化率在20%左右。

  根据清洗介质的不同,目前半导体清洗技术主要分为湿法清洗和干法清洗两种工艺路线。目前湿法清洗是主流的清洗技术路线,占芯片制造清洗步骤数量的90%以上。

  •湿法清洗是针对不同的工艺需求,采用特定的化学药液和去离子水,对晶圆表面进行无损伤清洗,以去除晶圆制造过程中的颗粒、自然氧化层、有机物、金属污染、牺牲层、抛光残留物等物质,可同时采用超声波、加热、真空等辅助技术手段。在湿法清洗工艺路线下,目前主流的清洗设备主要包括单片清洗设备、槽式清洗设备、组合式清洗设备和批式旋转喷淋清洗设备等,其中单片清洗设备市场份额占比最高。

  •干法清洗是指不使用化学溶剂的清洗技术,主要包括等离子清洗、超临界气相清洗、束流清洗等技术。

  2021年随着下游需求的稳步增长以及新兴领域的高速发展,半导体产业面临着先进制程产能的扩张需求,为半导体设备行业带来巨大的市场空间。根据SEMI数据统计,2016-2020年全球半导体设备市场规模从412亿美元增长到712亿美元,年复合增长率为15%,预计2021年市场规模将继续保持高速增长态势。

  在全球清洗设备市场,迪恩士(DNS)占据40%以上的市场份额,此外,东京电子(TEL)、泛林半导体(LAM)等也在行业占据了较高的市场份额,市场集中度较高。

  盛美半导体设备(上海)股份有限公司成立于2005年,是中国大陆少数具备一定国际竞争力的半导体专用设备供应商,专业从事清洗、电镀和先进封装湿法等半导体专用设备的研发、生产和销售。2021年,公司于A股科创板上市。盛美上海公司专注于对先进集成电路制造、先进晶圆级封装制造及大硅片制造领域半导体设备研发、生产和销售,通过向半导体芯片制造商提供高性能、低消耗的工艺解决方案,致力于提升客户的生产效率和产品良率。

  化学机械抛光(Chemical Mechanical Polishing,CMP )是半导体制造过程中实现晶圆全局均匀平坦化的关键工艺。作为晶圆制造的关键制程工艺之一,化学机械抛光指的是通过化学腐蚀与机械研磨的协同配合作用,实现晶圆表面多余材料的高效去除与全局纳米级平坦化。早期的抛光技术包括机械抛光和化学抛光,但由于去除速率低,在先进制程中均无法满足芯片量产需求,而CMP技术结合了机械抛光和化学抛光各项优点,兼顾了表面全局和局部平坦化,抛光质量高,在目前芯片制造中被广泛使用。

  整个CMP设备上游包括检测系统、控制系统、抛光垫等,中游为CMP设备的设计和制造,下游则应用于集成电路、平板显示、MEMS等。集成电路制造是CMP设备应用的最主要的场景,重复使用在薄膜沉积后、光刻环节之前;除了集成电路制造,CMP设备还可以用于硅片制造环节与先进封装领域。

  半导体晶圆制造过程繁琐且复杂,涉及的核心制造工序与关键设备也超过了九种,其中CMP环节占了整体生产成本的7%,而在其中CMP抛光液、抛光垫分别占据了抛光工艺的46%和31% 的比重。根据国际半导体产业协会数据统计,2014-2020年,全球CMP抛光材料市场规模从16亿美元提升至25亿美元,年均复合增长率约为8%。其中,抛光液及抛光垫市场规模分别为13和8亿美元。

  2020年下半年以来,受益于中国大陆晶圆产能恢复与半导体设备需求放量的影响,大陆地区的半导体CMP设备及抛光材料市场逐步走出低谷时期,行业呈现增长态势。据行行查数据显示,2020年中国CMP设备市场规模虽然受疫情影响略有下滑,但总体维持在4亿美元以上。2020年国内CMP抛光材料市场规模约为32亿元,近五年复合增速维持在10%的水平。从细分材料中抛光液和抛光垫的市场规模来看,截至2020年底,国内抛光垫市场规模约为16亿元,抛光液的市场规模约为20亿元。

  美国应用材料公司成立于1967年,总部位于美国加州圣克拉拉县。半导体设备为公司的主要营收来源,在半导体设备领域,公司覆盖了薄膜沉积设备、刻蚀设备、离子注入设备、CMP设备以及检测设备等设备,是国际领先的半导体设备厂商,公司在薄膜沉积设备和离子注入设备领域具有垄断地位。

  荏原制作所总公司1912年创立,1920年成立,位于日本东京都大田区,设计并制造社会基础设施和工业用机械设备,于东京证券交易所一部上市。亦是美国生产压缩机和汽轮机Elliott公司的母公司,主营事业有风水力机械事业、环境事业和精密电子事业。

  华海清科股份有限公司成立于2013年04月10日,公司主要从事半导体专用设备的研发、生产、销售及技术服务,主要产品为化学机械抛光设备。公司所生产CMP设备可广泛应用于12英寸和8英寸的集成电路大生产线。公司的具有完全自主知识产权的CMP设备在逻辑芯片制造、3DNAND制造、DRAM制造等领域的工艺技术水平已分别突破至14nm、128层、1X/1Ynm,均为当前国内大生产线的最高水平和全球集成电路产业的先进水平。

  离子注入是指将加速到一定高能量的离子束注入材料表面层内,以改变表面层物理和化学性质。离子注入属于物理过程,通过入射离子的能量损耗机制达成靶材内的驻留,可准确控制掺杂杂质的数量及深度。在半导体中注入杂质原子(如在硅中注入硼、磷或砷等),可改变其表面电导率或形成PN结。

  离子注入机包含5个子系统,包括:气体系统、电机系统、真空系统、控制系统和射线系统。其中,射线系统为最重要的子系统,包含六大核心部件:离子源、吸极、离子分析器、加速管、扫描系统、工艺腔。

  2016年我国离子注入机市场规模为23亿元,2020年我国离子注入机市场规模达45亿元,2016年以来我国离子注入机规模年均复合增速为18%。从供需情况看,我国离子注入机市场依赖进口,国产化迫在眉睫。2020年我国离子注入机产量26台,需求为256台,存在巨大的供需差;近年来,随着国内生产商研发生产能力的提升,我国光伏领域离子注入机基本实现进口替代,但集成电路领域国产化率仍较低,亟待进一步提升。

  离子注入在芯片制造前道工艺中是不可或缺的工艺,而低能大束流离子注入机更是半导体制造中最为核心设备之一,其开发难度仅次于光刻机,存在较高的行业竞争壁垒。全球离子注入机市场高度集中。全球能够生产制造离子注入机的厂家较少,主要企业为应用材料(AMAT)、亚舍立(Axcelis)、汉辰科技(AIBT)、日新、Intevac、日本真空技术株式会社、日本住友重机械工业株式会社、凯世通等。应用材料公司和Axcelis公司合计占据全球70%以上的市场,市场集中度高。其余厂商在集成电路领域均有涉足,但市场份额较小。凯世通与中科信是国内仅有的两家掌握集成电路离子注入机核心技术的企业。

  美国应用材料公司成立于1967年,总部位于美国加州圣克拉拉县。在半导体设备领域,公司覆盖了离子注入设备、CMP设备、刻蚀设备、薄膜沉积等设备,是国际领先的半导体设备厂商。

  热处理是提高机械零件质量和延长使用寿命的关键工序,也是充分发挥金属材料潜力、节约材料的有效途径。热处理炉是实现金属热处理工艺的主要要设备,芯片制作的热处理环节所用到的炉管设备是与热处理相关的一类设备的统称,包括氧化炉、扩散炉、退火炉、快速退火炉等。按照设备形态划分,炉管设备可分为卧式炉、立式炉和快速热处理炉三类。

  受行业景气度及疫情等因素影响,2018至2020年全球立式炉管设备市场呈现下行态势,2020年全球热处理设备市场规模约为15.4亿美元,其中快速热处理设备7亿美元,占比47%,氧化/扩散炉5亿美元,占比36%,栅极堆叠设备2.7亿美元,占比17%。2020年下半年以来,半导体行业需求回升,且下游市场对于半导体产品性能的要求不断提高,这也将对上游热处理设备市场产生拉动效应,在此趋势下,热处理设备预计将获得更大的发展空间。

  东京电子(TEL)成立于1963年,是日本最大的半导体制造设备提供商。东京电子的产品几乎覆盖了半导体制造流程中的所有工序。其主要产品包括涂布/显像设备、热处理成膜设备、干法刻蚀设备、CVD、湿法清洗设备及测试设备。

  北京屹唐半导体科技有限公司于2015年12月30日成立,屹唐半导体是一家总部位于中国,以中、美、德三地作为研发、制造基地,面向全球经营的半导体设备公司,主要从事集成电路制造过程中所需晶囿加工设备的研发、生产和销售,面向全球集成电路制造厂商提供集成电路制造设备及配套工艺解决方案。

  北方华创在氧化扩散炉领域具备相当技术实力。目前大量供货国内一线晶圆厂。北方华创下属子公司北方华创微电子自主研发的12英寸立式氧化炉THEORISO302MoveIn长江存储生产线DNANDFlash制程,扩展了国产立式氧化炉的应用领域。立式氧化炉是北方华创微电子的一款成熟产品,已批量应用于中芯国际、上海华力芯片生产线,本次THEORISO302立式氧化炉设备Movein长江存储生产线,表明北方华创微电子集成电路立式氧化炉产品获得了市场广泛认可,为国产集成电路装备商业化应用踏出了又一个坚实的步伐。

  前道量检测设备注重过程工艺监控,几乎运用在每一道制造工序中。前道检测设备的技术难度和设备含金量高于后道检测设备,在缺陷检测和参数量测的标准皆比后道检测设备更为严苛。根据技术原理不同,前道检测可分为光学检测、电子束检测两大类,两者在制程工艺的检测中互补应用。根据功能的不同前道检测设备可分为两类:一是量测类,二是缺陷检测类。

  据国际半导体产业协会统计数据统计,2016-2020年全球半导体设备市场规模从412亿美元增长到712亿美元,年均复合增长率为15%。2019年半导体行业进入下行周期,半导体设备市场规模也有所缩减。2020下半年至今由于芯片供给紧张,全球晶圆厂商加大资本开支扩建产能,半导体行业重新进入上行周期,全球半导体设备销售额增速明显提升。

  目前全球先进测试设备制造技术基本掌握在美国、日本等厂商手中,得益于长期的技术积累,国外厂商在半导体量测设备领域长期居于垄断地位。2020年全球前道量测设备厂商中,科磊(KLA)排名第一,占比52%;应用材料(AMAT)、日立高新(Hitachi)分列第二、三位,分别占比12%、11%。

  科磊半导体(KLA )于1977年在美国加利福尼亚州成立,由KLA公司和TencorInstruments公司合并而成。是从事半导体及相关纳米电子产业设计、制造制程控制和良率管理解决方案的领导者。产品应用范围主要包括晶片制造、晶圆制造、光掩模制造、化合物半导体制造、互补式金属氧化物半导体(CMOS)和图像感应器制造、微电子器械系统制造及通用/实验室应用等。

  •概念:封测即集成电路的封装、测试环节,是加工后的晶圆到芯片的桥梁。在半导体产业链中,封测位于IC设计与IC制造之后,最终IC产品之前,属于半导体制造后道工序。封装是指将生产加工后的晶圆进行切割、焊线塑封,使集成电路与外部器件实现电气连接、信号连接的同时,对集成电路提供物理、化学保护。

  •结构:从封装结构来看,主要包括了基板布线、层间介质和密封材料基板,基板分为刚性板和柔性板,层间介质分为有机和无机(氧化硅、氮化硅和玻璃)聚合物两种,起到保护电路、隔离绝缘和防止信号失真等作用。密封材料当前主要为环氧树脂,占整个电子密封材料的97%以上,环氧树脂成本低、产量大、工艺简单。从封装形式来看,可分为气密封装和实体封装。气密封装是指在管芯周围腔体内有一定气体空间与外界隔离,实体封装指管芯周围与封装腔体形成整个实体。从材料组成分来看,主要分为金属基、陶瓷基和塑料基封装材料。

  根据国际半导体产业协会的数据统计,2020年全球半导体封装设备市场规模在38亿美元左右,占整个芯片生产环节半导体设备总体市场规模约5% 。2020年全球半导体封装基板市场规模为102亿美元,预计2021年芯片封装基板行业增长20%,市场规模将超过120亿美元。在全球芯片紧缺、供需失衡的市场背景下,预计未来五年全球半导体封装基板市场的年复合增长率将继续保持在10%以上,整体市场规模将突破150亿美元,成为增速最快的PCB细分板块之一。

  ASM Pacific于1975年在中国香港成立,集团是全球首个为半导体封装及电子产品生产的所有工艺步骤提供技术和解决方案的设备制造商,包括从半导体封装材料和后段(芯片集成、焊接、封装)到SMT工艺。全球并无其他设备供应商拥有类似的全面产品组合及对装嵌及SMT程序的广泛知识及经验。

  苏州艾科瑞思智能装备股份有限公司成立于2010年9月,专注于高性能装片机的研发、设计、制造和销售。艾科瑞思重点开发高速、高精准、更智能的半导体封装设备,为集成电路、微波组件、高速光模块、MEMS传感器、摄像头模组、IGBT模块领域客户提供封装解决方案。

  江苏长电科技股份有限公司成立于1972年,长电科技是全球领先的集成电路制造和技术服务提供商,提供全方位的芯片成品制造一站式服务,包括集成电路的系统集成、设计仿真、技术开发、产品认证、晶圆中测、晶圆级中道封装测试、系统级封装测试、芯片成品测试并可向世界各地的半导体客户提供直运服务。长电科技的前身为江阴晶体管厂,2020年在张江科学城成立长电科技管理公司,2021年完成对ADI测试厂的收购。内生成长+外延并购使得公司跻身目前国内规模最大、全球OSAT第一梯队的封测企业。

  通富微电子股份有限公司成立于1997年10月,总部位于江苏南通。通富微电专业从事集成电路封装测试。2007年8月在深圳证券交易所上市。公司具备封测第三代碳化硅半导体能力,拥有Bumping、WLCSP、FC、BGA、SiP等先进封测技术,QFN、QFP、SO等传统封测技术以及汽车电子产品、MEMS等封测技术,还拥有圆片测试、系统测试等测试技术,其产品和技术广泛应用于高端处理器芯片、存储器、信息终端、物联网、功率模块、汽车电子等,应用领域包含云、管、端全领域。

  天水华天科技股份有限公司成立于2003年12月,公司主要从事半导体集成电路封装测试业务。目前公司集成电路封装产品主要有DIP/SDIP、SOT、SOP、SSOP等多个系列,产品主要应用于计算机、网络通讯、消费电子及智能移动终端、物联网、工业自动化控制、汽车电子等电子整机和智能化领域。公司集成电路年封装规模和销售收入均位列我国同行业上市公司第二位。2007年11月公司在深圳证券交易所成功发行上市。

  半导体测试设备用于测试半导体产品的质量参数,包括测试芯片的电压、电流、时间、温度、电阻、电容、频率、脉宽、占空比等参数,从而判断芯片在不同工作条件下功能和性能的有效性。

  由于半导体行业景气度回升,下游封测厂扩产进度加快,全球封装设备及测试设备市场规模均同比实现较大幅度增长。根据国际半导体产业协会数据统计,2020年全球半导体测试设备市场规模为60亿美元,同比增长接近20%,占半导体设备销售额的8%。先进封装工艺带来的设备需求会大幅推动封装设备市场规模扩大,伴随集成电路复杂度提升,测试设备市场规模也将稳定提升。

  全球测试设备市场集中度较高,美日企业处于市场垄断地位。美国泰瑞达(Teradyne)、日本爱德万(Advantest)、美国科休(Cohu)和美国科利登(Xcerra)占据了主要市场份额,市占率超80%。

  泰瑞达(Teradyne)是目前全球最大的半导体测试设备公司,总部位于美国马萨诸塞州,于1960 年成立。在20世纪80年代,Teradyne通过收购领先的电路板测试系统制造商Zehntel扩大了其组件测试业务。在2008年扩大了其半导体测试业务,分别服务于闪存测试市场和大批量模拟测试市场。

  爱德万(Advantest)是日本的半导体检测设备供应商,1954年成立于东京,主要从事大规模集成电路自动测试设备及电子测量仪器的研发、制造、销售和服务。业务涵盖SoC测试系统、存储器测试系统、分选机等领域以及其他新兴业务与服务领域。

  北京华峰测控技术股份有限公司成立于1993年,是国内最早进入半导体测试设备行业的企业之一。公司在行业内深耕二十余年,聚焦于模拟和混合信号测试设备领域。2020年,公司于A股科创板上市。目前公司为国内前三大半导体封测厂商模拟测试领域的主力测试平台供应商,还拥有上百家集成电路设计企业客户资源,也与超过三百家以上的集成电路设计企业保持了业务合作关系,未来中国自主芯片发展为公司快速成长提供重大机遇。

document.write ('');